C64Power Forum
Hardware => Hardware => Wątek zaczęty przez: KB777 w 25 Marca 2019, 17:49
-
Hehehehehehehe
To jest dobre. Dalej nie kuma.
-
Polecam kupić i sprawdzić :)
-
Dobry bait nie jest zły.
-
Że przeproszę ococho?
Podałem bez okrzyków temat standby mode dla (S) RAM. Tylko dlatego że hobocti zadał sobie trud analizy. Spokojnie nie ma się o co denerwować.
Pamięć zastosowana jest firmy RENESANS
R1LP0408CSP -5SI
(http://c64power.com/forumng/index.php?action=dlattach;topic=8371.0;attach=1342;image)
(http://c64power.com/forumng/index.php?action=dlattach;topic=8371.0;attach=1344;image)
(http://c64power.com/forumng/index.php?action=dlattach;topic=8371.0;attach=1346;image)
http://c64power.com/forumng/index.php?action=dlattach;topic=8371.0;attach=1347 (http://c64power.com/forumng/index.php?action=dlattach;topic=8371.0;attach=1347)
DS w załączniku
-
http://atariki.krap.pl/index.php/Ram-Cart_64/128KB
błazny
-
bbbb bybyby... bullllllllllliszit schemat niezggggggodny z prawdą
kumam że nie miałeś ramcarty i się nim kierowałeś
-
sorry ale dostałem zlecenie na 15 koła nie dam rady dzisiaj wieczór z wami się pomiziać, schemat pierwszy z netu jak chcesz więcej podobnych to masz inne wynalazki na elektrodzie, zasada dwie diody i rezystor. Jak skończę to z pewnością pogadamy.
Pa kocham was <3
-
Bardziej chodzi o baterie i co się z nimi działo niż o schemat, ale nie masz czasu to pa.
popytaj kogoś kto ma ramcart
-
Vaporware lutuję, odniosę się jak skończę. Pozdrów żabciu swego słonia domowego :)
Btw, wiesz że Wegi może zmierzyć prąd ciągnięty z baterii ? Zakładam, że Ty tego nie zrobiłeś, bo wystarczyło skopiować gotowca... bez zrozumienia :)
-
sorry ale dostałem zlecenie na 15 koła nie dam rady dzisiaj wieczór z wami się pomiziać, schemat pierwszy z netu jak chcesz więcej podobnych to masz inne wynalazki na elektrodzie, zasada dwie diody i rezystor. Jak skończę to z pewnością pogadamy.
Pa kocham was <3
Ale bez problemu da sie to zrobic z pomoca dwoch diod i rezystora. Wystarczy zapoznac sie/zrozumiec prawo Ohma.........
Kisiel jak ladnie poprosisz to ci napisze jak naprawic... ;)
-
sorry ale dostałem zlecenie na 15 koła nie dam rady dzisiaj wieczór z wami się pomiziać, schemat pierwszy z netu jak chcesz więcej podobnych to masz inne wynalazki na elektrodzie, zasada dwie diody i rezystor. Jak skończę to z pewnością pogadamy.
Pa kocham was <3
Ale bez problemu da sie to zrobic z pomoca dwoch diod i rezystora. Wystarczy zapoznac sie/zrozumiec prawo Ohma.........
Kisiel jak ladnie poprosisz to ci napisze jak naprawic... ;)
Ej, szanuj swój czas, X razy 1000Euro+Vat wystaw za analizę problemu i poprawę dizajnu. X to już sobie wymyśl ile powinno być. Kisiela stać, przez noc "robił 15 kół" jak z Meroli i Beemek to sporo zarobił ;)
Kisiel, prawdziwy (tj. hetero *1) mężczyzna wie, że nie wstyd jest leżeć na deskach gdy trafiło się na lepszego. Głupotą jest natomiast podrywanie się i dalsze młócenie.
*1 nie wiem co to za kompleks
-
VCC-3.14 ; 3.13
CE 2.23
Bo nie miałem zasilacza. Bateria to jakieś chińskie gówno.
Zapytam tu bo K. zablokowal.
Te minimalne 2.2 V to na batreii 3V z podbitym napieciem na 3.14V ? Jak napiecie spadnie do 3 V (na baterii 3V) to bedzie ile 1.8 V ?
To wyjasnia dzialanie przez caly1 tydz... K. zdradze ci tajemnice bo pewnie nigdy nie widziles datasheet baterii CR2032 i nie bede za to rzadac 1000Euro+ VAT . Baterie uznaje sie za rozladowana po osiagnieciu 2V. A teraz zapytaj kogos doroslego co to oznacza, i niech ci wytlumaczy ;)
-
Podpowiedzi dla Słońca Forum:
Vih minimum ile jest ?
Ile dajesz na CS - sam zmierzyłeś na dobrej baterii.
Czy z takim V na CS bedziesz w Data Retention?
Jaki jest Isb, to ten jak dasz Vih na CS, a dajesz ledwo zalapujacy się na Vih, że na jednej pamięci jakoś jest w quasi DR, nie znaczy że na drugiej będzie, bo już jesteś poza zakresem.
Chcesz miec Isb1, to pilnuj napięcia na CS.
No a teraz... tylko trochę się bateria rozładuje, napiecie Vbat w dół, na CS w dół (ile Wegi zmierzył?). Czy 1.9V to Vih na CS? Ależ skąd. To już w zakresie przejsciowym.
Im bardziej rozladowana bateria tym gorzej, CS ani w stanie niskim ani w wysokim. Wiesz co to znaczy w CMOSie ? Góra i dół przewodzi.
-
Zupełnie niezrozumiałe zachowanie kisiela, jeżeli ktoś zastanowił się nad problemem i stracił na to swój czas, bardziej wypadałoby podziękować, niż kłamać że to nie jest ten dataszit. O co kruszyć kopię ? W jakim celu ?
CS oscyluje wokół stanu nieustalonego który jest poniżej 2.2V
Warunek CS>=(Vcc -0.2V) nie jest spełniony.
Typowy pobór prądu w data retention przy zachowaniu warunku CS>=(Vcc-0.2V) to 0.8 do 1 uA, max 3uA. Przy nowej baterii pobór prądu jest rzędu 20uA - to tylko potwierdza że nie wchodzi w data retention, pomiary w obu przypadkach tak samo potwierdzają, że warunek z CS nie jest spełniony.
Przecież kisiel nie jest dataszitem, a dataszit nie jest kisielem.
-
Mogę się założyć o jakiegoś mojego vaporwera, że nowe GA będą miały poprawkę, ale publicznie Kisiel nie przyzna się do tego akurat błędu.
TDCL czeka na kozetce, ciekawe co jemu dolega.
-
Podpowiedzi dla Słońca Forum:
Vih minimum ile jest ?
Ile dajesz na CS - sam zmierzyłeś na dobrej baterii.
Czy z takim V na CS bedziesz w Data Retention?
Jaki jest Isb, to ten jak dasz Vih na CS, a dajesz ledwo zalapujacy się na Vih, że na jednej pamięci jakoś jest w quasi DR, nie znaczy że na drugiej będzie, bo już jesteś poza zakresem.
Chcesz miec Isb1, to pilnuj napięcia na CS.
KB777 zwroc uwage ze piszesz do gocia ktory nie rozumie podstaw elektroniki....
-
Zwracam uwagę że rozmawiacie z mechanikiem który skończył 3 uniwersytety w tym jeden za granicą i w żadnym nie było podstaw elektroniki.
Natomiast co do waszych osiągnięć ELEKTRONICY, są takie że ich nie macie :)
Nie potraficie się uczyć, pracować i wyciągać logicznych wniosków.
Podtrzymanie bateryjne działa i nikt od 2010 roku tego tematu nie podniósł teraz wrzucacie brednie i tyle, możecie sobie bełkotać każdy user może włożyć baterię i wie że to działa.
NIGDZIE NIE JEST NAPISANE JAK DŁUGO.
Miernoty "elektroniczne" które na podbudowę ego piszą o 2000 Euro na dzień :P Homocity który jedyne co potrafił to zerżnąć jakiś projekt rozszerzenia pamięci i wielguś co ogryzek na ttl goździem lutował teraz elektronikiem wielkim się odnalazł.
Masakra ale troll must go on.
Ponieważ już opluliście wszystkie moje projekty dla komuny c64 z lat 2004-2013 w ostatnich pięciu dniach i udowodniliście że nie jestem elektronikiem cóż dalej ?
Bo ja na koniec forum mam kilka gwoździ do przybicia trumny waszej mizerności :)
-
Ramcart miał 3 baterie półtorywolty w szeregu ;p
i czymały latami :)
-
no widzisz czyli tyle pisania a nie mogliście napisać że będzie trzymał krócej ?
-
no przecież to ty sam masz swoje rebusy i podjazdy, wprowadzasz w błąd że zły DS, linki do nietentego
wydaje mi się że chyba nadal nie rozumiesz.
Gdybyś zrobił patent ze 3x1.5V i popełnił ten sam błąd to by mogło prowadzić do sytuacji pierwotnego szybkiego rozładowywania baterii, przy jednoczesnym wyrównywaniu się poziomu VCC i CS, po czym RAM by zaczął wchodzić w prawdziwe data retention i trzymałoby dłuuuużej.
Ech tak tylko historycznie wspomniałem, nawet nie użyłem kisiel tylko jedno małe ga, a ty potraktowałeś to jako personalny atak.
-
no widzisz czyli tyle pisania a nie mogliście napisać że będzie trzymał krócej ?
Czy tak moze byc RamCart (produkt z ubieglego wieku) utrzymanie danych 10 lat, TURBO GEO,SUPER RAM (produkt XXI wieku) by K. 0,01 roku ?
A dodales do instrukcji ze potrzeba 2 baterii na tydzien ? ;D
-
nowosti, tak lekko offtopując, też robiłeś jakieś ciekawe gadżety do C64?
-
ukisuelone GA łyka pastylki jak emeryt.
czy kupcy z amibaj jusz wiedza?
-
jestem zaskoczony poziomem komentarzy po 9 latach od sprzedaży :)
.... dwa tygodnie, łyka pastylki itd.
Proponuje kupić ostatni egzemplarz jak go zmontuje ! Numer wg co pamiętam 34.
-
nowosti, tak lekko offtopując, też robiłeś jakieś ciekawe gadżety do C64?
Nie czujesz kontekstu.
Nie neguje nikt, że Kisiel jest najpłodniejdzym (w zakresie HW) twórcą gadżetów w PL. Ma jednak manierę, żeby wszystko czego sam nie zrobił, zmieszać z blotem.
Nawet, gdy z powodu braków w wykształceniu (których arogancją nie da się nadrobić) zupełnie nie ma racji. Tu akurat mieliśmy pokaz jego wiedzy i umiejętności zrozumienia dokumentacji. Ciekawe co wyjdzie z badań TDCL, które to ustrojstwo powiązane jest z upaleniem przynajniej dwóch SIDów, co przy skali "produkcji" jest podejrzane. Latami fantazjował (nie potrafiąc oczywiście uzasadnić, poza bełkotem tak jak teraz przy pastylkołykaczu), że SdBoxa Ola jest przyczyną uwalenia się kilku PLA... na kilkaset sprzedanych Boxów. Nie wykluczam, może być przyczyną - ale Kisiel nigdy nie zmierzyl, nigdy nie pokazał jak to może upalać (poza teoriami; przy okazji okazało się, że kompletnie nie jest w stanie zrozumieć po co Gideon i Skoe zastosowali ten sam "trick" w swoich urządzeniach - ale jakby Olo to zrobił u siebie to na pewno żeby PLA rozwalać).
-
tutaj masz KB777 kwintesencję bulgotu waszego:
Fejkuś jeszcze raz czytaj data sheety ze zrozumieniem jeżeli możesz zapisać do rejestru VIC,SID,CIA bez użycia dotclock to znaczy że się da tak zrobić.
Jak jakiś błazen ten czy inny robi to inaczej to znaczy że jest lepszy od inżynierów COMMODORE, ATARII, APPLE itd :)
Pisaniem swoich przemyśleń obrażasz logikę userów którzy nic nie rozumieją z elektroniki ale potrafią zobaczyć który scalak ma fi2 podłączone a który nie.
No to zagadka po co w MOS8726 wyprowadzenie pin3 Dot Clock ? ???
Pewnie chcieli wyeliminowac stare c64 ? ;)
Kopaj się dalej członku
Pytanie:
Lothark, Zaxon, Kisiel co mają wspólnego ?
Nie są elektronikami :P
-
Pytanie:
Lothark, Zaxon, Kisiel co mają wspólnego ?
Nie są elektronikami :P
Hmmm, Zaxon i Lotharek mają własne projekty ? Serio pytam, mi się kojarzą stricte z produkcją rzeczy zaprojektowanych przez innych.
Na liście zapomniałeś o RS2232 w takim razie. No i o Olu.
-
zapomniałem bo to płotki.
Zaxon chyba wpierdylion, Lotharek jeden na PLA 18xx.
Tak więc wychodzi na to że elektroniki to na forum siedzą i nic nie robią bo .... a nóż znajdzie się jakiś i powie chłopie policzyłeś źle równanie kirchoffa i jest drabinka zjebana.
Tak więc jak widać głąby leczą się na forum, profesjonaliści sprzedają wynalazki :)
-
-- CSG REU/REC compatible RAM expansion controller
-- initial version: 2.9.2001 by Rainer Buchty (rainer@buchty.net)
-- syntactically correct, but completely untested -- so use at your own risk
--
-- 16MB DRAM to 64kB C64 memory DMA unit
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
package p_rec is
component rec is
port(
phi2, dotclk: in std_logic;
rst: in std_logic;
dma: out std_logic;
ba: in std_logic;
cpu_addr: inout std_logic_vector(15 downto 0);
cpu_data: inout std_logic_vector(7 downto 0);
cpu_rw: inout std_logic;
reu_addr: out std_logic_vector(11 downto 0);
reu_data: inout std_logic_vector(7 downto 0);
reu_rw: out std_logic;
reu_ras,
reu_cas: out std_logic;
size: in std_logic;
aec: inout std_logic
);
end component;
end package;
-- --------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity rec is
port(
phi2, dotclk: in std_logic;
rst: in std_logic;
dma: out std_logic;
ba: in std_logic;
cpu_addr: inout std_logic_vector(15 downto 0);
cpu_data: inout std_logic_vector(7 downto 0);
cpu_rw: inout std_logic;
reu_addr: out std_logic_vector(11 downto 0);
reu_data: inout std_logic_vector(7 downto 0);
reu_rw: out std_logic;
reu_ras,
reu_cas: out std_logic;
size: in std_logic;
aec: inout std_logic
);
end entity;
-- --------------------------------------------------------------------------
architecture arch_rec of rec is
-- ACR and IMR
signal acr: std_logic_vector(1 downto 0);
signal imr: std_logic_vector(2 downto 0);
-- CR
signal execute, load, ff00: std_logic;
signal tt: std_logic_vector(1 downto 0);
-- SR
signal ip, eob, fault: std_logic;
constant version: std_logic_vector(3 downto 0):="0000";
-- main state machine
signal state: std_logic_vector(2 downto 0);
-- transfer control
signal base_reu, sadr_reu: std_logic_vector(23 downto 0);
signal base_c64, sadr_c64: std_logic_vector(15 downto 0);
signal xfer_cnt, xfer_len: std_logic_vector(15 downto 0);
-- SWAP storage
signal reu_store, cpu_store: std_logic_vector(7 downto 0);
-- misc
signal ref_cnt: std_logic_vector(11 downto 0); -- refresh counter
signal exec_s: std_logic; -- exec sampled
signal ba_s: std_logic; -- ba sampled
signal scnt: std_logic; -- SWAP state
begin
-- --------------------------------------------------------------------------
-- DMA transfer (rising edge)
-- --------------------------------------------------------------------------
process(dotclk,rst)
begin
if rst='0' then
base_reu<="000000000000000000000000";
base_c64<="0000000000000000";
xfer_cnt<="0000000000000000";
ref_cnt<="000000000000";
exec_s<='0';
ba_s<=ba;
state<=(others=>'0');
scnt<='0';
-- rising edge: RAM addressing & data transfer
elsif dotclk'event and dotclk='1' then
ba_s<=ba;
-- mute c64 lines by default
cpu_addr<="ZZZZZZZZZZZZZZZZ";
cpu_data<="ZZZZZZZZ";
-- transfer control registers while idle
if exec_s='0' then
base_reu<=sadr_reu;
base_c64<=sadr_c64;
xfer_cnt<=xfer_len;
exec_s<=execute;
scnt<='0';
-- memory access
elsif ba='1' then
case state is
when "000" => -- apply row addr
reu_addr<=base_reu(11 downto 0);
cpu_addr<=base_c64;
when "001" => -- apply col addr
reu_addr<=base_reu(23 downto 12);
cpu_addr<=base_c64;
when "010" => -- transfer data
cpu_addr<=base_c64;
fault<='0';
case tt is
when "00" => -- C64->REU
reu_data<=cpu_data;
when "01" => -- REU->C64
cpu_data<=reu_data;
when "10" => -- SWAP
if scnt='0' then
reu_store<=cpu_data;
cpu_store<=reu_data;
else
reu_data<=reu_store;
cpu_data<=cpu_store;
end if;
scnt<=not(scnt);
when "11" => -- VERIFY
if cpu_data/=reu_data then
fault<='1';
end if;
when others =>
null;
end case;
when "011" => -- inc addresses, dec counter
xfer_cnt<=xfer_cnt-1;
if acr(0)='1' then
base_reu<=base_reu+1;
end if;
if acr(0)='1' then
base_c64<=base_c64+1;
end if;
when "100" => -- CBR #1
reu_addr<=ref_cnt;
when "101" => -- CBR #2
reu_addr<="000000000000";
when "111" => -- finish/reload
ref_cnt<=ref_cnt+1;
if xfer_cnt=0 then
if load='1' then
base_reu<=sadr_reu;
base_c64<=sadr_c64;
xfer_cnt<=xfer_len;
end if;
eob<='1';
exec_s<='0';
end if;
when others =>
null;
end case;
end if;
end if;
end process;
-- --------------------------------------------------------------------------
-- DMA transfer (falling edge)
-- --------------------------------------------------------------------------
process(dotclk,rst)
begin
if rst='0' then
base_reu<="000000000000000000000000";
base_c64<="0000000000000000";
xfer_cnt<="0000000000000000";
ref_cnt<="000000000000";
reu_ras<='1';
reu_cas<='1';
reu_rw<='1';
exec_s<='0';
ba_s<=ba;
state<="000";
scnt<='0';
-- falling edge: RAM control
elsif dotclk'event and dotclk='0' then
-- RAM control defaults
cpu_rw<='Z';
reu_rw<='1';
reu_ras<='1';
reu_cas<='1';
if exec_s='1' and ba_s<='1' then
cpu_rw<='1';
state<=state+1;
-- RAM control state machine
case state is
when "000" => -- sample row addr
reu_ras<='0';
reu_cas<='1';
when "001" => -- sample col addr
reu_ras<='0';
reu_cas<='0';
when "010" => -- data write
reu_ras<='0';
reu_cas<='0';
case tt is
when "00" => -- C64->REU
reu_rw<='0';
when "01" => -- REU->C64
cpu_rw<='0';
when "10" => -- SWAP
if scnt='1' then
cpu_rw<='0';
reu_rw<='0';
end if;
when others =>
null;
end case;
when "011" => -- end of transfer
reu_ras<='1';
reu_cas<='0';
when "100" => -- CBR #1
reu_ras<='1';
reu_cas<='0';
when "101" => -- CBR #2
reu_ras<='0';
reu_cas<='0';
when others =>
reu_ras<='1';
reu_cas<='1';
end case;
end if;
end if;
end process;
-- --------------------------------------------------------------------------
-- external access to REU registers
-- --------------------------------------------------------------------------
reg_access:
process(phi2,rst)
variable reg_addr: std_logic_vector(3 downto 0);
begin
if rst='0' then
execute<='0';
load<='0';
ff00<='0';
sadr_c64<="0000000000000000";
sadr_reu<="000000000000000000000000";
xfer_len<="0000000000000000";
imr<="000";
acr<="00";
elsif (phi2'event and phi2='1') then
reg_addr:=cpu_addr(3 downto 0);
-- clear execute when finished
if exec_s='1' and execute='1' then
execute<='0';
-- auto-execute on ff00 access
elsif load='1' and cpu_addr="1111111100000000" then
execute<='1';
-- normal access
elsif cpu_rw='0' then
case reg_addr is
when "0001" => -- CR
execute<=cpu_data(7);
load<=cpu_data(5);
ff00<=cpu_data(4);
tt<=cpu_data(1 downto 0);
when "0010" => -- c64 start address
sadr_c64(15 downto 8)<=cpu_data;
when "0011" =>
sadr_c64( 7 downto 0)<=cpu_data;
when "0100" => -- reu start address
sadr_reu(23 downto 16)<=cpu_data;
when "0101" =>
sadr_reu(15 downto 8)<=cpu_data;
when "0110" =>
sadr_reu( 7 downto 0)<=cpu_data;
when "0111" => -- transfer length
xfer_len(15 downto 8)<=cpu_data;
when "1000" =>
xfer_len( 7 downto 0)<=cpu_data;
when "1001" => -- IMR
imr<=cpu_data(7 downto 5);
when "1010" => -- ACR
acr<=cpu_data(7 downto 6);
when others =>
null;
end case;
elsif cpu_rw='1' then
case reg_addr is
when "0000" => -- SR
cpu_data<=ip & eob & fault & size & version;
when "0001" => -- CR
cpu_data<=execute & '1' & load & ff00 & "11" & tt;
when "0010" => -- c64 start address
cpu_data<=sadr_c64(15 downto 8);
when "0011" =>
cpu_data<=sadr_c64( 7 downto 0);
when "0100" => -- reu start address
cpu_data<=sadr_reu(23 downto 16);
when "0101" =>
cpu_data<=sadr_reu(15 downto 8);
when "0110" =>
cpu_data<=sadr_reu( 7 downto 0);
when "0111" => -- transfer length
cpu_data<=xfer_len(15 downto 8);
when "1000" =>
cpu_data<=xfer_len( 7 downto 0);
when "1001" => -- IMR
cpu_data<=imr & "11111";
when "1010" => -- ACR
cpu_data<=acr & "111111";
when others =>
null;
end case;
end if;
end if;
end process;
end architecture;
-
To takiego debila słuchasz ssący pałko :)
-
Ok, Zaxona już wiem co jest. Lotharka nadal nie znalazlem autorskiego stuffu.
A ten spam (Rec Rainera) to po co? Żeby ukryć poprzednie strony ?
-
to dla wegiego bo ty vhdl nie rozumisz, więc może kolega wegi odpowie na pytanie homocity cóż się takiego dzieje z dotclock w recu.
Co do nie wymienionych JustFive i RS23456 to akurat proste w przeciwieństwie do wegiego, mnie czy zaxona , loharka z tego co widzę tych dwóch kradnie, .... (okrada pracodawcę by KB777, oszukuje na retro wartości golden cartów by Raf ) Co do justfive&bro mają oni długą litanię więc nie omieszkam nie przytaczać.
Szkoda żę wam RS23456 nie zrobił przedpłaty na 1581 byście się pozabijali z roszczeniami do rafcia.
-
każdy user [...] wie że to działa.
NIGDZIE NIE JEST NAPISANE JAK DŁUGO.
SID w TDC działa, tylko nigdzie nie jest napisane jak dlugo.
-
przyp. W TDCL sa dwie podstawki na SID.
-
przyp. W TDCL sa dwie podstawki na SID.
Ale w instrukcji nie podano na ile dni 2 wystarcza...
-
MEGA ELEKTRONIK zadaje pytanie :)
Fejkuś jeszcze raz czytaj data sheety ze zrozumieniem jeżeli możesz zapisać do rejestru VIC,SID,CIA bez użycia dotclock to znaczy że się da tak zrobić.
Jak jakiś błazen ten czy inny robi to inaczej to znaczy że jest lepszy od inżynierów COMMODORE, ATARII, APPLE itd :)
Pisaniem swoich przemyśleń obrażasz logikę userów którzy nic nie rozumieją z elektroniki ale potrafią zobaczyć który scalak ma fi2 podłączone a który nie.
No to zagadka po co w MOS8726 wyprowadzenie pin3 Dot Clock ? ???
Pewnie chcieli wyeliminowac stare c64 ? ;)
czy to jest miłość czy to jest kochanie ?
tylko olo odpowie na nie ...
pomidorow na start brat potrzebuje odpowiedzi
-
Znowu coś wklejasz bez związku z tematem, który przypominam mówi o tym, że datasheetów nawet jak przeczytasz, to nie rozumiesz. I to takie proste jak zwykły SRAM, nie jakiś kurczę synchro nawet.
Ciekawe czy byś zrozumiał dataszity od dajmy na to mapperów, muxów i framerów od STM1/OC3, do których dorabiałem pointer monitoring, error injection, PRBS tester (na 155MBit/s) itp. Na Virtexach, bo Spartany wtedy dopiero zapowiedziano.
-
Pierwszy c64L prototyp jest na virtex :) teraz nawet nie wspierany więc mam jeszcze stary soft. Kiedy ja robiłem prototyp ty na gówno papu mówiłeś :)
-
jasny gwint ile tu spamu ;D
-
służby archeo znalazły moją aktówkę z masą gówna był to XCV1000 grade 5C, mam jeszcze dwa jakbyś chciał kupić ale cena światowa z aliexpresu tak po koleżeńsku.
-
Kiedy ja robiłem prototyp ty [...] papu mówiłeś :)
Aha... prototyp robiłeś zanim Xilinx założono, tak tak, panie dziejku. Ktoś coś o czyimś wieku niedawno wspominał - Kisiel, dobrze się trzymasz jak na 80 lat. To pewnie te kąpiele w "papu" tak konserwują ;)
Na kij mi Virtex. Mam se właśnie w "rence" Virtexa5 (też już archeo) od razu z fajną płyteczką (jakiś emulator Spektrumny na tym w tej chwili jest), nie muszę instalować ISE 2.1 (choć właśnie znalałem instalkę na CDR-kach 2x speed, kiedy to było) do tych XCV, w które wtopiłeś. Aldecowe ISE fajne było wprawdzie, sentyment mam, ale wiesz - Zynq, Artix, takie tam.
Vaproware zadziałał od pierwszego włączenia, jutro idzie w świat. Niech słoń będzie z Tobą.
-
Czuję się zaszczycony że robisz to co ja chcę :)
Kisiel twój Buk 777 !
-
Ty chcesz w końcu zacząć rozumieć dejtaszity. Możemy Ci w tym pomóc, tylko musisz sam chcieć sobie pomóc - bez tego ni ma bata.
Może zaczniemy od podstaw, od poziomów logicznych (no bo problem był z Vih), od tego jak wygląda stopień wejściowy zwykłego 7400, jak wygląda wyjście, potem przez MOS do CMOSów dojdziemy. W technikum mieliśmy raz takiego delikwenta, że bodajże się kopsnął przy IEEE754, Helga przeczołgała go przez ALU, sumator, bramki proste i w końcu uwaliła za złącze p-n. Może i komuś taka wiedza wydaje się zbędna - ale jak widać, jakieś fundamenty wypadałoby mieć.
A jak już podstawy będą, to może za metastabilność się weźmiemy ? Oooo... panie, przy FPGA bardzo się przydaje.
-
Jak pisałem na forum do homocity celem dopingu aby skończył jakiś projekt nie udawało mi się.
Jesteś pierwszym któremu wpłynąłem na ambicje że chce coś skończyć... Jestem z Siebie dumny.
Może next forum zrobisz coś dla forumowiczów ?
-
Może next forum zrobisz coś dla forumowiczów ?
Reverse eng. TFC, GTI Prospeeda, DD001 (tu tylko ROM, ale pisałem już jaki był zamysł) jak widać to tematy, które poza paroma osobami (przedział między liczba Sidów ktore padły po zainstalowaniu w TDCL a liczba PLA które się wyczerpały po instalacji SDboxa) nie interesują tzw. "szerokiej społeczności". Mikroblejzowanie w 1541U2 też nie.
Handel mnie nie bawi. Next forum to powinno być SellMyRetroZaPółCeny, tam byłby ruch. Ale podobno do PL nie sprzedajesz (he... bo tu mało kto już Cię nie zna od strony wsparcia posprzedażowego i tak ogólnie).
Ktoś chce IDE do C64 na trzech scalakach (wersja do polutowania gwoździem nawet, bo DILe same), nie mówiąc o kosztach elektroniki rzędu 3-5 zeta ? "Tylko" soft trzeba sobie sklecić (Soci upublicznil kod, przyciąć, zmienić adresy rejestrów). 16 bit, LDA+LDA, STA+STA i nic więcej nie potrzeba ze sterowania